Accurate and fully automated stochastics measurements for OPC

Significantly improves accuracy of OPC and machine learning models

Can be inserted into any OPC process flow

FAME OPC delivers Fractilia’s highly accurate and precise measurements of stochastics to OPC development and production systems. Using FAME OPC, customers can calibrate their OPC and machine learning models to the actual random variability of their manufacturing process. This can provide enormous value such as larger process windows, shorter development time, fewer mask re-spins as well as many other benefits.

FAME OPC uses Fractilia’s patented FILM™ technology which provides more than 200 high-accuracy SEM measurements such as unbiased LWR, unbiased LER, unbiased LCDU, EPE, CD and defectivity. All measurements include statistically accurate error bars and are the most accurate “ground truth” available for the calibration of models used by OPC tools, including those that use AI and machine learning.

FAME OPC is a member of the FAME family of products from Fractilia. FAME is the Fractilia Automated Measurement Environment which provides fully automated measurements of SEM images with the highest accuracy and precision. Fractilia’s products also match the CD measurements from the CD SEM with very high accuracy.

Please contact Fractilia for more information and see our press release here.

FAME OPC provides the most accurate measurements for stochastics, EPE and other measurements for OPC


Benefits

Larger process windows and shorter OPC development time

Adding accurate stochastics measurements in the OPC calibration flow results in a production model that better reflects the real process. This can result in larger process windows for the designed patterns, shorter OPC development time and many others benefits.


Automated matching of CD measurements to the CD SEM

FAME OPC automatically calibrates the CD measurements to match those of the CD SEM, while simultaneously providing the most accurate unbiased measurements of stochastics.


Fully automated solution for maximum team efficiency

FAME OPC is fully automated and requires no manual manipulation. For each gauge, FAME OPC uses the GDS or other design data to automatically set up the measurement recipe, then performs the SEM measurements and saves the results. Each measurement job can include an unlimited number of gauges and SEM images.


Insert into any OPC process flow

FAME OPC is a complete SEM measurement solution that can be inserted into any OPC process flow. The system can be run programmatically and launched from other 3rd-party systems.


The most accurate “ground truth”

FAME OPC provides the most accurate measurements of stochastic variability and include error bars on all measurements. Together, these results are the most accurate “ground truth” for the performance of the manufacturing process and can significantly improve the quality of the OPC models including those that use machine learning and AI.


Contact us for more information or to discuss an on-site evaluation of FAME OPC.